ICC Commands List

ICC Manpage

gui_cmds:

 get_gui_stroke_bindings, gui_add_annotation, gui_add_ruler_point,
 gui_add_toolbar_item, gui_apply_error_filters,
 gui_cell_displacement_vm_bin, gui_change_error_highlight,
 gui_check_drc_errors, gui_clear_filter_errors,

ICC Manpage

gui_cmds:

 get_gui_stroke_bindings, gui_add_annotation, gui_add_ruler_point,
 gui_add_toolbar_item, gui_apply_error_filters,
 gui_cell_displacement_vm_bin, gui_change_error_highlight,
 gui_check_drc_errors, gui_clear_filter_errors,

 gui_clear_selected_errors, gui_close_window, gui_create_menu,
 gui_create_tk_palette_type, gui_create_toolbar,
 gui_create_toolbar_item, gui_create_window, gui_delete_menu,
 gui_delete_toolbar_item, gui_error_browser, gui_execute_menu_item,
 gui_exist_window, gui_filter_errors, gui_get_current_window,
 gui_get_error_browser_option, gui_get_errors,
 gui_get_loaded_error_views, gui_get_menu_roots,
 gui_get_mouse_tool_option, gui_get_region, gui_hide_palette,
 gui_hide_toolbar, gui_load_error_view, gui_load_hierarchy_vm,
 gui_load_power_density_mm, gui_mouse_tool, gui_new_ruler,
 gui_page_errors, gui_remove_all_annotations, gui_remove_all_rulers,
 gui_remove_last_ruler_point, gui_remove_ruler, gui_report_errors,
 gui_report_hotkeys, gui_scroll, gui_select_by_name,
 gui_set_active_window, gui_set_current_errors,
 gui_set_error_browser_option, gui_set_error_fixed, gui_set_hotkey,
 gui_set_mouse_tool_option, gui_set_region, gui_set_selected_errors,
 gui_show_palette, gui_show_toolbar, gui_show_window,
 gui_toggle_fixed_selected_errors, gui_unload_error_view,
 gui_view_port_history, gui_write_window_image, gui_zoom,
 set_gui_stroke_binding, set_gui_stroke_preferences,
 update_placement_congestion_map

Admin:

 icc_unhide_cmd

PG_Library:

 set_always_on_cell, set_isolation_cell, set_level_shifter_cell,
 set_pg_pin_model, set_pin_model, set_power_switch_cell,
 set_retention_cell, set_voltage_model, update_lib_model,
 update_lib_pg_pin_model, update_lib_pin_model,
 update_lib_voltage_model, write_lib_specification_model

Zroute:

 create_zrt_shield, define_zrt_redundant_vias,
 extract_zrt_hier_antenna_property, get_route_mode_options,
 get_route_opt_zrt_crosstalk_options, get_route_zrt_common_options,
 get_route_zrt_detail_options, get_route_zrt_global_options,
 get_route_zrt_track_options, get_zrt_net_properties,
 insert_zrt_diodes, insert_zrt_redundant_vias, is_zrt_routed_design,
 remove_zrt_filler_with_violation, remove_zrt_redundant_shapes,
 report_route_opt_zrt_crosstalk_options,
 report_route_zrt_common_options, report_route_zrt_detail_options,
 report_route_zrt_global_options, report_route_zrt_track_options,
 report_zrt_net_properties, report_zrt_shield, route_zrt_auto,
 route_zrt_clock_tree, route_zrt_detail, route_zrt_eco,
 route_zrt_global, route_zrt_group, route_zrt_track,
 route_zrt_with_route_guidance, set_route_mode_options,
 set_route_opt_zrt_crosstalk_options, set_route_zrt_common_options,
 set_route_zrt_detail_options, set_route_zrt_global_options,
 set_route_zrt_track_options, set_zrt_net_properties, split_zrt_net,
 spread_zrt_wires, translate_zrt_parameters, verify_zrt_route,
 widen_zrt_wires

UI:

 add_to_collection, append_to_collection, change_selection,
 change_working_design, change_working_design_stack,
 compare_collections, copy_collection, filter_collection,
 foreach_in_collection, get_flat_cells, get_flat_nets, get_flat_pins,
 get_selection, get_working_design_stack, gui_bin,
 gui_change_highlight, gui_create_attrdef, gui_create_attrgroup,
 gui_create_pref_category, gui_create_pref_key, gui_create_vm,
 gui_create_vm_objects, gui_create_vmbucket, gui_delete_attrdef,
 gui_delete_attrgroup, gui_edit_vmbucket_contents,
 gui_exist_pref_category, gui_exist_pref_key, gui_get_bucket_option,
 gui_get_bucket_option_list, gui_get_current_task, gui_get_highlight,
 gui_get_highlight_options, gui_get_map_list, gui_get_map_option,
 gui_get_map_option_list, gui_get_pref_keys, gui_get_pref_value,
 gui_get_routes_between_objects, gui_get_task_list, gui_get_vm,
 gui_get_vmbucket, gui_get_window_ids, gui_get_window_pref_categories,
 gui_get_window_pref_keys, gui_get_window_types, gui_list_attrdefs,
 gui_list_attrgroups, gui_load_cell_density_mm,
 gui_load_pin_density_mm, gui_remove_pref_key, gui_remove_vm,
 gui_remove_vmbucket, gui_set_bucket_option, gui_set_current_task,
 gui_set_highlight_options, gui_set_map_option, gui_set_pref_value,
 gui_set_vm, gui_set_vmbucket, gui_show_map, gui_start, gui_stop,
 gui_update_attrdef, gui_update_attrgroup, gui_update_pref_file,
 gui_update_vm, gui_update_vm_annotations, index_collection,
 list_attributes, query_objects, remove_from_collection,
 set_hierarchy_color, sizeof_collection, sort_collection, start_gui,
 stop_gui, transform_coordinates, unset_hierarchy_color,
 win_select_objects, win_set_filter, win_set_select_class

Timing Analysis:

 all_clocks, characterize, check_ilm, check_noise, check_timing,
 check_tlu_plus_files, compare_delay_calculation,
 compare_interface_timing, compare_rc, create_clock,
 create_generated_clock, create_ilm, create_ilm_models,
 create_operating_conditions, define_scaling_lib_group,
 delete_operating_conditions, derive_constraints, drive_of,
 estimate_rc, extract_rc, fix_signal_em, get_clocks,
 get_coupling_capacitors, get_generated_clocks, get_ilm_objects,
 get_ilms, get_path_groups, get_si_xtalk_bumps, get_timing_paths,
 group_path, multicorner_check_cells, multicorner_is_on,
 propagate_constraints, propagate_ilm, read_aocvm, read_parasitics,
 read_sdc, read_sdf, remove_annotated_check, remove_annotated_delay,
 remove_annotated_transition, remove_annotations, remove_aocvm,
 remove_case_analysis, remove_clock, remove_clock_groups,
 remove_clock_latency, remove_clock_sense, remove_clock_transition,
 remove_clock_uncertainty, remove_data_check,
 remove_disable_clock_gating_check, remove_disable_timing,
 remove_driving_cell, remove_fanout_load, remove_generated_clock,
 remove_ideal_latency, remove_ideal_transition,
 remove_ignore_cell_timing, remove_input_delay,
 remove_net_timing_spacing, remove_noise_immunity_curve,
 remove_noise_lib_pin, remove_noise_margin, remove_output_delay,
 remove_pin_name_synonym, remove_propagated_clock,
 remove_scaling_lib_group, remove_sdc, remove_steady_state_resistance,
 report_annotated_check, report_annotated_delay,
 report_annotated_transition, report_aocvm, report_case_analysis,
 report_clock, report_clock_gating_check, report_clock_timing,
 report_constraint, report_crpr, report_delay_calculation,
 report_delay_estimation_options, report_disable_timing,
 report_em_options, report_extraction_options, report_ilm,
 report_interclock_relation, report_internal_loads, report_mode,
 report_noise, report_noise_calculation, report_path_group,
 report_si_options, report_signal_em, report_signal_em_calculation,
 report_timing, report_timing_derate, report_timing_requirements,
 report_tlu_plus_files, reset_mode, reset_path, reset_timing_derate,
 set_annotated_check, set_annotated_delay, set_annotated_transition,
 set_aocvm_coefficient, set_case_analysis, set_clock_groups,
 set_clock_latency, set_clock_sense, set_clock_transition,
 set_clock_uncertainty, set_data_check, set_default_drive,
 set_default_driving_cell, set_default_fanout_load,
 set_default_input_delay, set_default_load, set_default_output_delay,
 set_delay_calculation, set_delay_estimation_options,
 set_disable_timing, set_drive, set_driving_cell, set_em_options,
 set_extraction_options, set_false_path, set_fanout_load,
 set_ideal_latency, set_ideal_transition, set_ignore_cell_timing,
 set_input_delay, set_input_transition, set_load, set_max_delay,
 set_max_time_borrow, set_min_delay, set_mode, set_multicycle_path,
 set_noise_immunity_curve, set_noise_lib_pin, set_noise_margin,
 set_output_delay, set_port_fanout_number, set_propagated_clock,
 set_pulse_clock_cell, set_resistance, set_scaling_lib_group,
 set_si_options, set_steady_state_resistance, set_timing_derate,
 set_tlu_plus_files, set_true_delay_case_analysis, update_timing,
 write_environment, write_interface_timing, write_parasitics,
 write_sdc, write_sdf

Routing:

 check_route, check_routeability, close_distributed_route,
 convert_wire_ends, convert_wire_to_pin, count_drc_violations,
 create_auto_shield, create_differential_group, create_macro_fram,
 create_pad_rings, create_power_straps, create_preroute_vias,
 create_rectangular_rings, create_rectilinear_rings,
 create_stack_via_on_pad_pin, define_antenna_area_rule,
 define_routing_rule, display_flip_chip_route_flylines,
 extract_blockage_pin_via, fix_isolated_via, get_via_masters,
 optimize_flip_chip_route, optimize_wire_via, preroute_instances,
 preroute_standard_cells, push_flip_chip_route,
 remove_all_spacing_rules, remove_dangling_wires,
 remove_distributed_route, remove_filler_with_violation,
 remove_flip_chip_route, remove_net_routing,
 remove_net_routing_layer_constraints,
 remove_preferred_routing_direction, remove_route_by_type,
 remove_route_guide, remove_routing_rules, remove_xtalk_prop,
 report_distributed_route, report_droute_options,
 report_flip_chip_bump_attributes, report_groute_options,
 report_isolated_via, report_net_routing_layer_constraints,
 report_net_routing_rules, report_parameter,
 report_preferred_routing_direction, report_preroute_drc_strategy,
 report_route_options, report_routing_rules, report_spacing_rules,
 report_xtalk_route_options, route_area, route_auto, route_detail,
 route_differential, route_eco, route_flip_chip, route_global,
 route_group, route_rc_reduction, route_search_repair,
 route_spreadwires, route_track, route_widen_wire,
 set_distributed_route, set_droute_options,
 set_flip_chip_bump_attributes, set_groute_options, set_net_aggressors,
 set_net_routing_layer_constraints, set_net_routing_rule,
 set_parameter, set_preferred_routing_direction,
 set_preroute_advanced_via_rule, set_preroute_drc_strategy,
 set_preroute_special_rules, set_route_flip_chip_options,
 set_route_options, set_route_type, set_xtalk_route_options, slot_wire,
 verify_pg_nets, verify_route, write_flip_chip_nets, write_route

Placement:

 add_to_rp_group, all_fixed_placement, all_rp_groups,
 all_rp_hierarchicals, all_rp_inclusions, all_rp_instantiations,
 all_rp_references, check_legality, check_physical_constraints,
 check_rp_groups, create_placement, create_rp_group, extract_rp_group,
 get_rp_group_keepouts, get_rp_groups, legalize_placement,
 magnet_placement, order_rp_groups, refine_placement,
 remove_congestion_options, remove_dont_touch_placement,
 remove_from_rp_group, remove_ignored_layers, remove_placement,
 remove_placement_blockage, remove_pnet_options,
 remove_rp_group_options, remove_rp_groups,
 report_area_recovery_options, report_congestion,
 report_congestion_options, report_ignored_layers,
 report_placement_utilization, report_pnet_options,
 report_rp_group_options, rp_group_inclusions, rp_group_instantiations,
 rp_group_references, set_area_recovery_options,
 set_congestion_options, set_dont_touch_placement, set_ignored_layers,
 set_lib_cell_spacing_label, set_pnet_options, set_rp_group_options,
 set_spacing_label_rule, swap_cell_locations, write_rp_groups

Optimization:

 add_pg_pin_to_db, all_critical_cells, all_critical_pins,
 analyze_subcircuit, check_scan_chain, clock_opt,
 clock_opt_feasibility, create_buffer_tree, define_via, focal_opt,
 get_scan_cells_of_chain, get_scan_chains,
 insert_port_protection_diodes, optimize_dft, place_opt,
 place_opt_feasibility, psynopt, remove_buffer_tree,
 remove_cell_degradation, remove_ideal_net, remove_ideal_network,
 remove_isolate_ports, remove_scan_def, remove_scan_pin_type,
 report_adjusted_endpoints, report_ahfs_options, report_buffer_tree,
 report_buffer_tree_qor, report_cbt_options, report_change_list,
 report_checkpoint_designs, report_fast_mode, report_isolate_ports,
 report_level_shifter, report_net_changes, report_net_delta_delay,
 report_optimize_dft_options, report_port_protection_diodes,
 report_power_pin_info, report_route_opt_strategy, report_scan_chain,
 route_opt, set_ahfs_options, set_auto_disable_drc_nets,
 set_buffer_opt_strategy, set_cbt_options, set_cell_degradation,
 set_combinational_type, set_connection_class, set_cost_priority,
 set_critical_range, set_dont_touch, set_dont_touch_network,
 set_dont_use, set_fast_mode, set_fix_hold, set_fix_hold_options,
 set_fix_multiple_port_nets, set_ideal_net, set_ideal_network,
 set_isolate_ports, set_level_shifter, set_max_area,
 set_max_capacitance, set_max_fanout, set_max_lvth_percentage,
 set_max_net_length, set_max_transition, set_min_capacitance,
 set_multi_vth_constraint, set_opposite, set_optimize_dft_options,
 set_physopt_cpulimit_options, set_prefer, set_register_type,
 set_route_opt_strategy, set_scan_pin_type, set_size_only,
 set_target_library_subset, set_timing_ranges, set_unconnected,
 set_ungroup, trace_scan_chain

License:

 check_license, get_license, license_users, list_licenses,
 remove_license, set_synlib_dont_get_license

Floor Planning:

 adjust_fp_floorplan, adjust_fp_io_placement, align_fp_pins,
 allocate_fp_budgets, analyze_fp_rail, analyze_fp_routing,
 assign_flip_chip_nets, change_fp_soft_macro_to_black_box,
 check_fp_budget_result, check_fp_pin_alignment,
 check_fp_pin_assignment, check_fp_rail, check_fp_timing_environment,
 check_mpc, commit_fp_group_block_ring, commit_fp_plan_groups,
 commit_fp_rail, compile_fp_clock_plan, copy_mim, create_connview,
 create_fp_block_shielding, create_fp_blockages_for_child_hardmacro,
 create_fp_group_block_ring, create_fp_pins, create_fp_placement,
 create_fp_plan_group_padding, create_fp_virtual_pad,
 create_on_demand_netlist, create_partition, create_power_switch_array,
 create_power_switch_ring, create_qtm_clock, create_qtm_constraint_arc,
 create_qtm_delay_arc, create_qtm_drive_type,
 create_qtm_generated_clock, create_qtm_insertion_delay,
 create_qtm_load_type, create_qtm_model, create_qtm_path_type,
 create_qtm_port, derive_mpc_macro_options, derive_mpc_options,
 derive_mpc_port_options, end_fp_trace_mode, estimate_fp_area,
 estimate_fp_black_boxes, expand_flip_chip_cell_locations,
 explore_power_switch, extract_fp_rail_to_constraints,
 extract_fp_relative_location, flatten_fp_black_boxes,
 flatten_fp_hierarchy, flip_mim, generate_qtm_model,
 get_adjusted_endpoints, get_fp_trace_mode, get_fp_wirelength,
 import_fp_black_boxes, initialize_floorplan,
 initialize_rectilinear_block, legalize_fp_placement,
 list_partition_data, load_fp_rail_map, merge_flip_chip_nets,
 merge_fp_hierarchy, optimize_fp_timing, optimize_power_switch,
 pack_fp_macro_in_area, place_flip_chip_array, place_flip_chip_ring,
 place_fp_pins, place_io_pads, push_down_fp_objects,
 push_up_fp_objects, query_on_demand_netlist, read_flip_chip_bumps,
 read_floorplan, read_partition, read_pin_pad_physical_constraints,
 read_tdf_ports, reduce_fp_rail_stacked_via, refine_fp_macro_channels,
 remove_checkpoint_designs, remove_fp_block_shielding,
 remove_fp_feedthroughs, remove_fp_pin_constraints,
 remove_fp_pin_overlaps, remove_fp_plan_group_padding,
 remove_fp_rail_stacked_via, remove_fp_rail_voltage_area_constraints,
 remove_fp_relative_location, remove_fp_virtual_pad,
 remove_fp_voltage_area_constraints, remove_mim_property,
 remove_on_demand_netlist_data, remove_partition,
 remove_pin_pad_physical_constraints, replace_power_switch,
 report_feasibility_options, report_flip_chip_driver_bump,
 report_flip_chip_flyline_cross, report_flip_chip_type,
 report_fp_macro_array, report_fp_macro_options,
 report_fp_pin_constraints, report_fp_placement,
 report_fp_placement_strategy, report_fp_rail_constraints,
 report_fp_rail_extraction_options, report_fp_rail_strategy,
 report_fp_rail_voltage_area_constraints, report_fp_relative_location,
 report_fp_shaping_strategy, report_fp_trace_mode_options,
 report_fp_voltage_area_constraints, report_mim,
 report_mpc_macro_array, report_mpc_macro_options, report_mpc_options,
 report_mpc_pnet_options, report_mpc_port_options,
 report_mpc_rectilinear_outline, report_mpc_ring_options,
 report_mtcmos_pna_strategy, report_on_demand_netlist,
 report_pin_pad_physical_constraints, report_qtm_model,
 reset_fp_clock_plan_options, route_fp_proto, run_parallel_jobs,
 save_qtm_model, select_mim_master_instance, send_flow_status,
 set_checkpoint_strategy, set_flip_chip_cell_site,
 set_flip_chip_driver_array, set_flip_chip_driver_island,
 set_flip_chip_driver_ring, set_flip_chip_grid, set_flip_chip_options,
 set_flip_chip_type, set_fp_base_gate, set_fp_black_boxes_estimated,
 set_fp_black_boxes_unestimated, set_fp_block_ring_constraints,
 set_fp_clock_plan_options, set_fp_flow_strategy, set_fp_macro_array,
 set_fp_macro_options, set_fp_pin_constraints,
 set_fp_placement_strategy, set_fp_power_pad_constraints,
 set_fp_rail_constraints, set_fp_rail_extraction_options,
 set_fp_rail_region_constraints, set_fp_rail_strategy,
 set_fp_rail_voltage_area_constraints, set_fp_relative_location,
 set_fp_shaping_strategy, set_fp_trace_mode,
 set_fp_voltage_area_constraints, set_mpc_macro_array,
 set_mpc_macro_options, set_mpc_options, set_mpc_pnet_options,
 set_mpc_port_options, set_mpc_rectilinear_outline,
 set_mpc_ring_options, set_mtcmos_pna_strategy,
 set_pad_physical_constraints, set_partition_data,
 set_pin_physical_constraints, set_qtm_global_parameter,
 set_qtm_port_drive, set_qtm_port_load, set_qtm_technology,
 shape_fp_blocks, sort_fp_pins, synthesize_fp_rail,
 uncommit_fp_soft_macros, uniquify_fp_mw_cel,
 update_flip_chip_pin_locations, virtual_ipo, write_flip_chip_bumps,
 write_floorplan, write_physical_constraints, write_physical_script,
 write_pin_pad_physical_constraints, write_qtm_model

ECO & Editing:

 add_distributed_hosts, align_objects, change_link,
 change_tie_connection, check_signoff_correlation, connect_net,
 connect_pin, copy_objects, create_cell, create_edit_group, create_net,
 create_net_shape, create_pg_network,
 create_physical_buses_from_patterns, create_pin_guide,
 create_placement_blockage, create_port, create_route_guide,
 create_terminal, create_text, create_user_shape, create_via,
 cut_objects, define_bus, disconnect_net, distribute_objects,
 eco_netlist, expand_objects, flip_objects, get_edit_groups,
 get_object_fixed_edit, get_object_snap_type, insert_buffer,
 insert_spare_cells, map_freeze_silicon, map_unit_tiles, move_objects,
 move_pins_on_edge, place_freeze_silicon, redo, remove_buffer,
 remove_bus, remove_cell, remove_distributed_hosts, remove_edit_groups,
 remove_net, remove_objects, remove_pg_network, remove_port,
 remove_tie_cells, remove_unconnected_ports, replace_cell_reference,
 report_distributed_hosts, report_eco_history, report_edit_groups,
 report_pin_guides, report_primetime_options, report_starrcxt_options,
 report_unit_tiles, resize_objects, rotate_objects, run_signoff,
 set_name, set_object_boundary, set_object_fixed_edit,
 set_object_shape, set_object_snap_type, set_primetime_options,
 set_starrcxt_options, set_undoable_attribute, signoff_opt,
 snap_objects, split_objects, spread_spare_cells, stretch_wire,
 undefine_bus, undo, undo_config, undo_mark, window_stretch

Design Data:

 _query_db, add_drc_error_detail, add_open_drc_error_detail,
 add_pg_pin_to_lib, add_row, all_ao_cells, all_bounds_of_cell,
 all_cells_in_bound, all_connected, all_connectivity_fanin,
 all_connectivity_fanout, all_designs, all_dont_touch,
 all_drc_violated_nets, all_fanin, all_fanout, all_high_fanout,
 all_ideal_nets, all_inputs, all_isolation_cells, all_level_shifters,
 all_macro_cells, all_mtcmos_cells, all_objects_in_bounding_box,
 all_outputs, all_physical_only_cells, all_physical_only_nets,
 all_physical_only_ports, all_registers, all_size_only_cells,
 all_spare_cells, all_threestate, all_tieoff_cells,
 analyze_displacement, archive_design, change_macro_view, change_names,
 check_database, check_design, check_error, check_library,
 check_physical_design, check_scenarios, close_mw_cel, close_mw_lib,
 collection_to_list, compare_lib, compute_polygons, connect_supply_net,
 convert_from_polygon, convert_mw_lib, convert_to_polygon,
 copy_floorplan, copy_mw_cel, copy_mw_lib, cputime, create_base_array,
 create_boundary, create_bounds, create_drc_error,
 create_drc_error_type, create_lib_track, create_mw_cel, create_mw_lib,
 create_open_drc_error, create_open_locator_drc_error,
 create_physical_bus, create_plan_groups, create_power_switch,
 create_qor_snapshot, create_routing_blockage, create_short_drc_error,
 create_site_row, create_spacing_drc_error, create_supply_net,
 create_supply_port, create_supply_set, create_track, current_design,
 current_design_name, current_instance, current_mw_cel, current_mw_lib,
 cut_row, decrypt_lib, define_name_rules, define_user_attribute,
 get_alternative_lib_cells, get_attribute, get_bounds, get_buffers,
 get_cell_sites, get_cells, get_core_area, get_design_lib_path,
 get_die_area, get_drc_errors, get_error_view_property,
 get_floorplan_data, get_layer_attribute, get_layers,
 get_lib_attribute, get_lib_cells, get_lib_pins, get_libs,
 get_location, get_magnet_cells, get_mw_cels, get_net_shapes, get_nets,
 get_new_bounds, get_object_name, get_physical_buses,
 get_physical_lib_cells, get_physical_lib_pins, get_physical_libs,
 get_pin_guides, get_pin_shapes, get_pins, get_placement_area,
 get_placement_blockages, get_plan_groups, get_polygon_area, get_ports,
 get_power_switches, get_route_guides, get_routing_blockages,
 get_site_rows, get_supply_nets, get_supply_ports, get_terminals,
 get_text, get_tracks, get_user_grid, get_user_shapes, get_vias,
 get_zero_interconnect_delay_mode, group, ignore_site_row,
 import_designs, link, link_physical_library, list_drc_error_types,
 list_files, list_floorplan_data, list_instances, list_libs,
 list_mw_cels, load_of, map_power_switch, mem, merge_net_shapes,
 move_mw_cel_origin, open_mw_cel, open_mw_lib, query_qor_snapshot,
 read_ddc, read_def, read_drc_error_file, read_file, read_lib,
 read_verilog, read_zrt_route_guidance, rebuild_mw_lib,
 recompute_fit_bbox, recover_tie_connection, remove_attribute,
 remove_base_arrays, remove_bounds, remove_cell_sites, remove_design,
 remove_dp_int_round, remove_drc_error, remove_host_options,
 remove_keepout_margin, remove_map_power_switch, remove_mw_cel,
 remove_net_shape, remove_physical_bus, remove_pin_guides,
 remove_plan_groups, remove_power_switch, remove_qor_snapshot,
 remove_routing_blockage, remove_row_type, remove_site_row,
 remove_supply_net, remove_supply_port, remove_target_library_subset,
 remove_terminal, remove_text, remove_track, remove_user_shape,
 remove_via, rename_mw_cel, rename_mw_lib, report_area,
 report_attribute, report_bounds, report_bus, report_cell,
 report_cell_physical, report_check_library_options, report_design,
 report_design_lib, report_design_physical, report_drc_error_type,
 report_error_coordinates, report_floorplan_data, report_hierarchy,
 report_host_options, report_ideal_network, report_isolation_cell,
 report_keepout_margin, report_lib, report_milkyway_version,
 report_mw_lib, report_name_rules, report_names, report_net,
 report_net_characteristics, report_net_fanout,
 report_operating_conditions, report_pg_net, report_physical_bus,
 report_pin_name_synonym, report_pin_shape, report_port, report_qor,
 report_qor_snapshot, report_reference, report_retention_cell,
 report_supply_net, report_supply_port, report_supply_set,
 report_target_library_subset, report_tie_nets, report_track,
 report_transitive_fanin, report_transitive_fanout, report_units,
 report_write_stream_options, reset_design, resize_polygon,
 restore_design_settings, save_design_settings, save_mw_cel,
 set_attribute, set_cell_location, set_cell_row_type, set_cell_type,
 set_check_library_options, set_child_terminal, set_context_margin,
 set_die_area, set_domain_supply_net, set_dp_int_round, set_equal,
 set_error_view_property, set_host_options, set_isolation,
 set_isolation_control, set_keepout_margin, set_lib_attribute,
 set_local_link_library, set_logic_dc, set_logic_one, set_logic_zero,
 set_macro_cell_bound_spot, set_min_library, set_mw_lib_reference,
 set_mw_technology_file, set_pin_name_synonym, set_port_location,
 set_retention, set_retention_control, set_row_type, set_user_grid,
 set_via_array_size, set_write_stream_options,
 set_zero_interconnect_delay_mode, size_cell, split_mw_lib, split_net,
 sub_instances_of, syntax_check, ungroup, uniquify, update_bounds,
 update_lib, update_physical_bus, write, write_def,
 write_design_lib_paths, write_design_settings, write_lib,
 write_link_library, write_mw_lib_files, write_plib, write_script,
 write_stream, write_verilog

CTS:

 add_clock_drivers, adjust_premesh_connection,
 balance_inter_clock_delay, check_clock_tree, check_mesh_net,
 commit_skew_group, compile_clock_tree, compile_premesh_tree,
 create_clock_mesh, flatten_clock_gating, get_mesh_nets,
 identify_clock_gating, mark_clock_tree, merge_clock_gates,
 optimize_clock_tree, optimize_pre_cts_power,
 remove_clock_cell_spacing, remove_clock_gates, remove_clock_mesh,
 remove_clock_tree, remove_clock_tree_exceptions,
 remove_clock_tree_options, remove_skew_group,
 report_clock_cell_spacing, report_clock_gating, report_clock_tree,
 report_clock_tree_optimization_options, report_clock_tree_power,
 report_cts_batch_mode, report_fp_clock_plan_options,
 report_inter_clock_delay_options, report_latency_adjustment_options,
 report_optimize_pre_cts_power_options,
 report_reference_cell_routing_rule, report_skew_group,
 report_split_clock_gates_options,
 reset_clock_tree_optimization_options, reset_clock_tree_options,
 reset_clock_tree_references, reset_cts_batch_mode,
 reset_inter_clock_delay_options, reset_latency_adjustment_options,
 reset_reference_cell_routing_rule, reset_split_clock_gates_options,
 route_htree, route_mesh_net, set_clock_cell_spacing,
 set_clock_gating_registers, set_clock_tree_exceptions,
 set_clock_tree_optimization_options, set_clock_tree_options,
 set_clock_tree_references, set_cts_batch_mode,
 set_inter_clock_delay_options, set_latency_adjustment_options,
 set_optimize_pre_cts_power_options, set_place_opt_cts_strategy,
 set_reference_cell_routing_rule, set_skew_group,
 set_split_clock_gates_options, skew_opt, split_clock_gates,
 split_clock_net, update_clock_latency

Chip Finishing:

 add_end_cap, add_tap_cell_array, calculate_caa_based_yield2db,
 calculate_hier_antenna_property, connect_spare_diode,
 connect_tie_cells, define_antenna_accumulation_mode,
 define_antenna_layer_ratio_scale, define_antenna_layer_rule,
 define_antenna_rule, define_io_antenna_area,
 define_io_diode_protection, define_io_gate_size, detect_flcc_hotspot,
 detect_lcc_hotspot, extract_flcc_hotspot,
 extract_hier_antenna_property, fix_flcc_hotspot, fix_lcc_hotspot,
 insert_diode, insert_metal_filler, insert_ng_filler,
 insert_pad_filler, insert_redundant_vias, insert_stdcell_filler,
 insert_tap_cells_by_rules, insert_well_filler,
 process_particle_probability_file, read_antenna_violation,
 remove_antenna_rules, remove_cell_vt_type, remove_diode,
 remove_io_antenna_properties, remove_left_right_filler_rule,
 remove_stdcell_filler, remove_vt_filler_rule, remove_well_filler,
 report_antenna_ratio, report_antenna_rules, report_cell_vt_type,
 report_critical_area, report_filler_placement,
 report_io_antenna_properties, report_lcc_hotspot,
 report_left_right_filler_rule, report_physical_signoff_options,
 report_vt_filler_rule, set_cell_vt_type, set_left_right_filler_rule,
 set_physical_signoff_options, set_vt_filler_rule, signoff_drc,
 signoff_metal_fill, trim_fill_eco, verify_drc, verify_lvs

Power:

 add_port_state, add_power_state, add_pst_state, analyze_rail,
 associate_mv_cells, check_isolation_cells, check_level_shifters,
 check_mv_design, check_rail, connect_power_switch,
 create_power_domain, create_pst, create_rail_setup,
 create_voltage_area, derive_pg_connection, get_always_on_logic,
 get_power_domains, get_voltage_areas, hookup_retention_register,
 hookup_testports, insert_isolation_cell, insert_level_shifters,
 insert_mv_cells, lib2saif, load_upf, map_isolation_cell,
 map_level_shifter_cell, map_retention_cell, merge_saif, name_format,
 propagate_switching_activity, read_rail_maps, read_saif,
 remove_clock_gating_check, remove_isolation_cell,
 remove_level_shifters, remove_power_domain, remove_rail_maps,
 remove_voltage_area, report_direct_power_rail_tie, report_power,
 report_power_calculation, report_power_domain, report_power_gating,
 report_power_guide, report_power_options, report_power_switch,
 report_pst, report_rail_options, report_saif,
 report_threshold_voltage_group, report_voltage_area,
 reset_switching_activity, reset_upf, save_upf, set_always_on_strategy,
 set_cell_internal_power, set_clock_gating_check,
 set_direct_power_rail_tie, set_disable_clock_gating_check,
 set_level_shifter_strategy, set_level_shifter_threshold,
 set_max_dynamic_power, set_max_leakage_power, set_max_total_power,
 set_operand_isolation_scope, set_operating_conditions,
 set_power_guide, set_power_net_to_voltage_area, set_power_options,
 set_rail_options, set_related_supply_net, set_scope,
 set_switching_activity, set_voltage, shell_is_in_upf_mode,
 unset_power_guide, update_voltage_area, write_saif

gui:

 gui_load_area_net_connection_vm, gui_load_cell_displacement_vm,
 gui_load_cell_slack_vm, gui_load_clock_delay_vm,
 gui_load_delta_delay_vm, gui_load_illegal_cell_placement_vm,
 gui_load_imported_path_pins_vm, gui_load_net_capacitance_vm,
 gui_load_path_slack_vm, gui_load_relative_placement_vm,
 gui_load_rp_group_net_connectivity_vm, gui_load_scan_chain_vm,
 gui_load_static_noise_vm, gui_load_voltage_area_vm, gui_show_form,
 gui_write_layout_image

MCMM:

 all_active_scenarios, all_scenarios, create_scenario,
 current_scenario, get_cts_scenario, get_dominant_scenarios,
 get_scenarios, remove_cts_scenario, remove_scenario,
 report_scenario_options, report_scenarios, select_block_scenario,
 set_active_scenarios, set_cts_scenario, set_mcmm_job_options,
 set_scenario_options

Procedures:

 budgeting_window::setup_schematic_context_menus,
 change_selection_no_core, change_selection_too_many_objects,
 create_custom_wire, ekkimw::get_number_of_top_level_io_cell_of_sth,
 ekkimw::get_number_of_top_level_standard_cell_of_sth,
 gui_create_schematic, gui_focus_layout, gui_get_setting,
 gui_inspect_violations, gui_load_clock_tree_vm, gui_open_error_view,
 gui_overlay_layout, gui_schematic_add_logic,
 gui_schematic_remove_logic, gui_select_vmbucket,
 gui_set_layout_layer_visibility, gui_set_layout_user_command,
 gui_set_setting, gui_set_shape_endcap, gui_show_man_page,
 gui_violation_schematic_add_objects, gui_wave_add_signal,
 gui_zoom_all_layouts_to_current_view, menus::get_select_check_state,
 snpsInfotips::toggleState

Builtins:

 after, alias, append, apropos, array, binary, break, catch, cd, clock,
 close, concat, continue, create_command_group, date,
 define_proc_attributes, echo, encoding, eof, error, error_info, eval,
 exec, exit, expr, fblocked, fconfigure, fcopy, file, fileevent, flush,
 for, foreach, format, get_app_var, get_command_option_values,
 get_message_info, get_unix_variable, getenv, gets, glob, global, help,
 history, if, incr, info, interp, is_false, is_true, join, lappend,
 list, lminus, ls, lset, man, namespace, open, package,
 parse_proc_arguments, pid, print_message_info,
 print_suppressed_messages, printenv, printvar, proc, proc_args,
 proc_body, puts, pwd, quit, read, redirect, rename, report_app_var,
 return, scan, seek, set, set_app_var, set_message_info,
 set_unix_variable, setenv, sh, socket, source, split, subst,
 suppress_message, switch, tell, time, trace, unalias, unset,
 unsuppress_message, update, uplevel, upvar, variable, vwait, which,
 while, write_app_var

Default Command Group:

 print_proc_new_vars, set_cle_options, set_current_command_mode,
 sh_list_key_bindings

Leave a Reply

Your email address will not be published. Required fields are marked *